Leveraging New Technologies for Mil/Aero Electronic Systems

When new, critical technology becomes available, it must be quickly integrated into deployable systems for effective use by defense forces.

Maintaining a strategic military advantage requires prompt development of effective deployable electronic solutions to meet new and anticipated threats, and developing new systems that leapfrog the capabilities of the opposing force.

When new, critical technology becomes available, it must be quickly integrated into deployable systems for effective use by defense forces. Many of these technologies include RF products supporting wider bandwidths and higher frequencies, techniques to provide radiation tolerant devices, faster data converters capable of direct RF sampling as well as new processors for artificial intelligence, machine learning, and adaptive signal processing engines to handle a much greater number of complex, wideband antenna and sensor signals. This article provides several examples of how these technologies and new standards are impacting embedded system architectures to significantly boost overall performance levels, speed development cycles, and lower lifecycle costs.

ACAP Heterogeneous Processing Technology

Figure 1. AMD Xilinx Versal AI Core ACAP heterogeneous processor includes a blend of adaptable FPGA, DSP and AI engines, multi-core ARM processors, Network-on-Chip, multi-rate Ethernet I/O and other system interfaces. (Courtesy AMD Xilinx)

Two increasingly critical defense sectors – autonomous unmanned vehicles and electronic warfare – benefit from both AI and machine learning. AI capabilities such as inference, image processing, pattern recognition, and signature detection, are essential for detailed intelligence acquisition and real-time countermeasures. Machine learning is a subset of AI that can help automate and improve decision making by assisting or replacing human operators for faster and more accurate responses.

The AMD Versal ACAP (adaptive compute acceleration platform) family provides different blends of three major processing resources: scalar engines (ARM CPUs), adaptable engines (FPGAs), and intelligent AI and DSP engines, as shown in the block diagram of the AMD Xilinx VC1902 in Figure 1.

This heterogeneous mix of ACAP resources gives designers the freedom to assign the task at hand to the most suitable processing engine, and the ability to adaptively reassign these resources as required. This flexibility of ACAP delivers up to ten-times the performance over dedicated processor types alone.

Figure 2. Mercury SCFE6931 SOSA aligned 6U OpenVPX conduction-cooled plug-in card features two ACAP Versal AI devices with heterogeneous scalar, vector and logic processing for AI, DSP, and machine learning EW applications.

The chip is equipped with a rich collection of peripheral and system interfaces shown along the bottom edge of Figure 1. To interconnect all these powerful resources, ACAP includes an extremely wideband, configurable network-on-chip that offers a uniform interface and protocol to simplify system integration.

The inherently flexible nature of ACAP falls squarely in line with the new SOSA objectives for reusability and adaptability to new threats. The Mercury SCFE6931 shown in Figure 2 is a 6U VPX SOSA-aligned processor plug-in card with two ACAP Versal AI Core Xilinx VC1902 devices.

Versal development tools target high-level design entry from frameworks, models, C-language, and RTL coding. Users can create a custom development environment to suit their project needs and programming preferences. Other Versal hardware/ software platforms will evolve to help speed development tasks and support high complexity and extreme performance requirements.

Direct RF Sampling for ACAP

In the early 1990s, the software radio revolution began transforming virtually every device connected to the electromagnetic spectrum by replacing traditional radio circuitry with data converters (ADCs and DACs) and digital signal processors (DSPs). Sampling rates of data converters and the processing power of DSPs have steadily increased to accommodate higher bandwidths required for complex spread-spectrum signals required by enormous consumer markets for wireless communications, entertainment, and internet services. Defense markets for radar, EW, SIGINT, and communications now heavily rely on increasingly wider signal bandwidths to maintain military superiority. Nearly all of these systems use analog RF circuitry between the antenna and the data converters to perform up/down frequency conversion using amplifiers, filters, transformers, tuned circuits, mixers and local oscillators.

Direct RF sampling is the practice of using an ADC to digitize analog RF signals without first performing some analog RF frequency translation. The same term applies to using a DAC to generate an analog RF output signal at the transmit frequency. Direct RF greatly simplifies the complex analog RF circuitry, saving size, weight, power, calibration, and maintenance. Without analog frequency up/down converters, latency is reduced in the analog signal path, and digital tuning is much faster, two critical requirements for radars and EW countermeasures.

Figure 3. One of two Jariet Electra-MA 2-channel, 64 GS/s, 10-bit transceivers used in the Mercury RFS1140 RFSiP along with the AMD ACAP Versal AI VC1901.

The Jariet Electra-MA is a new transceiver that contains two 64 GS/s 10-bit ADCs and two 10-bit 64 GS/s DACs as shown in Figure 3. It is capable of direct RF sampling for signal frequencies up to 36 GHz. It includes digital down and up conversion for both channels that can tune across the first Nyquist zone (up to 32 GHz) and support instantaneous signal bandwidths greater than 4 GHz. It uses advanced 14 nm CMOS technology and is manufactured in the USA.

Taking advantage of this exceptional device, Mercury recently announced the RFS1140 RFSiP (RF system-in-package), which combines silicon dies of two of the Jariet devices with the AMD ACAP Versal AI VC1901 described in the previous section, along with 4 GB of low-power DDR4 memory. Mercury’s microelectronics facility in Arizona performs packaging of the RFSiP using state-of-the-art 2.5D fabrication techniques to interconnect the high-density dies in a 50mm x 50mm x 5mm package rated for operation from -40°C to 85°C shown in Figure 4.

Figure 4. Mercury RFS1140 RFSiP 4-channel, 10-bit, 64 GS/s transceiver and ACAP Versal AI processor in a 55mm2 package.

Designed for high-performance military and aerospace sensor requirements at the edge, the 4-channel RFSiP targets radar, EW, ELINT, ISR, electronic attack, phased array, communications, and autonomous vehicle systems.

MMIC Bandpass Filters for Direct RF

The extremely wide input frequency range of the RFSiP device described above can be a mixed blessing for system designers. While direct RF sampling digitizes signals across an extremely wide frequency span for flexible digital tuning and down-conversion, the ADC can be exposed to strong interfering signals outside the signal band of interest. To avoid distortion in the digital samples, the RF gain must be adjusted so that the highest ADC input signal level does not cause overload. However, by doing so, lower-level signals of interest may now occupy only a small region of the ADC full-scale input voltage range. This reduces usable dynamic range of those signals.

A bandpass filter is often used to overcome this common problem. The pass band straddles the frequency band of interest, while the upper and lower stop bands block interfering signals on either side. Now, the RF input gain can be increased without overloading the ADC, greatly improving the dynamic range of the interesting passband signals. But, the problem with a fixed bandpass filter is that it blocks other signals of interest that may fall into its stop bands. One solution is to provide a bank of parallel bandpass filters and programmable RF switches to place the appropriate filter in the signal path for accommodating a range of different input signal frequencies. These filters and switches traditionally take up space and add cost.

Figure 5. Mercury AM3153 tunable filter bank MMIC device covers 6 GHz to 26.5 GHz with 48 bandpass filters (7 shown).

Fortunately, such products are now available as MMIC (monolithic microwave integrated circuits) devices. The Mercury AM3153 filter bank is a digitally tunable bank of 48 bandpass filters, each with different passbands ranging in discrete steps from 6 GHz to 26.5 GHz. The desired filter is selected by setting a 6-bit binary control word. Figure 5 shows passband frequency responses of seven representative filters from lowest to highest across the range.

The AM3153 offers an excellent frontend solution for the RFSiP with its ADC operating at 64 GS/s. The 48 filters cover a 20 GHz span centered nicely in the first Nyquist zone. Extremely fast filter switching, coupled with the fast tuning of the ADC, provide a flexible, adaptable, and agile receiver front end for the RFSiP ACAP processor. The AM3153 comes in an amazingly small 5-mm2 QFN package, operates from -40°C to +85°C, and consumes only 30 mW of power at 5V. Combining it with the RFSiP provides an extremely compact and powerful acquisition and pre-processing front end that can be mounted behind wideband, phased-array antennas.

Boosting Speeds for Signal Recorders

RF signal recorders serve several important roles in defense electronics. Developing and testing radar, EW, and communications equipment and systems requires validation of transmitted RF signal characteristics. RF signal recorders can capture these signals to demonstrate and document proper operation. Since receivers must be resistant to noise and jamming, proper operation can be confirmed by loading challenging digital waveforms into a real-time signal playback system to produce analog IF or RF receiver test signals. Finally, deployed RF signal recorders in manned and unmanned aircraft play a vital role in capturing signals across hostile regions to ascertain the types of EW, radar, and communications equipment being used in each location.

As military electronics technology evolves, warfighting signals are growing in number, complexity, frequency, and bandwidth, thus driving tougher requirements for RF signal recorder and playback systems. Therefore, recording systems need to handle higher bandwidth signals, meaning faster data converters, disk drives, and system links.

Figure 6. Several types of NVMe drives suit diverse application spaces.

Driven by huge commercial and consumer markets for motherboards, servers, and data centers, PCIe speeds are now at Gen 4 using 8 Gbaud lanes, and soon twice that for PCIe Gen 5. Because PCIe has overtaken SATA speeds, it became the natural interface choice for faster SSD drives that use the latest non-volatile memory devices. This led to the new NVMe (Non-Volatile Memory Express) standard, which defines products in many shapes and sizes that all utilize the latest generation PCIe interface with widths of × 2, × 4, × 8 or ×16. Figure 6 shows the different types of NVMe drives available and their characteristics.

Available today are U.2 PCIe Gen 4 NVMe data center drives in the 2.5-inch form factor with 7.68 GB storage capacity at reasonable prices. Read and write speeds are 6.5 and 3.5 GB/sec, respectively, and quickly getting faster as memory devices and PCIe speeds continue to grow. By taking advantage of these new technologies, it is now possible for a small, rugged 1/2 ATR Mercury Talon recorder mounted in a UAV to capture RF signals with an instantaneous bandwidth up to 1 GHz continuously for over 3 hours.

Radiation Tolerant Storage

On the earth, we are protected from many types of space radiation by our atmosphere, which absorbs most of it. This radiation is in the form of high-energy particles including protons and heavier ions including helium, iron, and others emitted by sunspot activity. Other low-level background radiation from deep space comes from cosmic rays, which are very high-energy heavy ions travelling at close to the speed of light.

Electronic devices are particularly vulnerable to this radiation, which ionizes the semiconductor material, leaving trapped ions that can accumulate over time to create faults in the logic and memory cells. Because a single cosmic ray has so much energy, it can create a fault all by itself, called a single-event effect (SEE). In memory devices, an SEE can change the value of a stored bit.

Hundreds of new satellites are launched every year, most of them smaller, low earth orbit (LEO) platforms that perform a variety of tasks including imaging, networking, surveillance, and internet service. Imaging satellites now use high-resolution cameras resulting in huge data files that must be stored until the satellite flies over a ground station for downloading. DRAM memories continually draw operating power, which challenges the limited power available on the satellite. Instead, non-volatile NAND flash memories are used to significantly drop the overall power budget.

Overcoming the effects of radiation on memories can be approached in three ways. First, metal shielding helps absorb radiation but poses a tradeoff between launch weight (type of metal and thickness) and effectiveness. Using special radiation tolerant substrate material and designing redundant silicon structures can also improve survival. Finally, and especially applicable to memory devices, error correction code (ECC) techniques can be employed to detect and then correct errors. Additional memory bits are needed to store these codes, but by using longer codes and advanced algorithms, multiple bit errors across blocks of storage can be corrected.

Figure 7. Mercury RH3440 3U VPX SRIO data recorder module.

An example of an open architecture radiation tolerant storage device is the Mercury RH3440 3U VPX SRIO data recorder module shown in Figure 7. It uses a 440 GB, large geometry, industrial-grade SLC NAND flash memory rated for total ionizing dosage (TID) >30 krad and screened to meet NASA EEE-INST-002. All other components are radiation tolerant by design for TID >100 krad.

An advanced horizontal Reed-Solomon ECC algorithm is designed for fault tolerance across multiple NAND flash devices, fully correcting 6-bit errors across 28 data bytes. It is ruggedized to withstand shock and vibration levels, and operates from -40°C to +70°C. The conduction-cooled aluminum housing mitigates radiation and spreads heat to the card edges.

The Open Group Sensor Open System Architecture (SOSA) Consortium released its long-awaited SOSA Technical Standard 1.0 in September 2021, defining the SOSA Reference Architecture for U.S. DoD communications, electro-optical/infrared, electronic warfare, radar, and signals intelligence systems. Its rules describe how only a limited set of well-defined open standard designs shall be used in embedded defense systems to comply with the DoD mandate for the use of Open System Architectures. Drawing heavily on many VITA standards, including OpenVPX, SOSA’s many benefits include easier insertion of new technology, faster reactions to new defense threats and requirements, improved interoperability across vendors, longer life cycles, and lower costs for acquisition and maintenance.

SOSA specifies a subset of OpenVPX slots and module profiles for both 3U and 6U VPX defining specific backplane pins for data, control, and expansion planes to promote vendor interoperability. Most traffic flows across these planes using Gigabit Ethernet at rates of 1, 10, 40, and 100 Gb/sec, all popular standards widely used in commercial enterprise markets.

Because SOSA covers so many application systems requiring sensors for RF signals, an extremely interesting new device is the AMD Xilinx RFSoC (RF System-on-Chip), which uses UltraScale+ FPGA Zynq architecture based on 14 nm silicon geometry. Now offered in the Gen3 revision, it includes eight 14-bit ADCs sampling at 5 GS/sec capable of direct RF digitization of input signal frequencies up to 6 GHz, and eight 14-bit DACs sampling at 9.8 GS/ sec. The RFSoC offers excellent support for AESA (active electronically steered array) antennas because it provides eight RF transceivers to support eight phased-array antenna elements, and synchronous operation across multiple RFSoC boards.

Another significant SOSA requirement is that all analog and digital I/O must flow through backplane connectors instead of through the front panel to simplify maintenance and improve reliability. This has a major impact on EW because of the high number of antenna signals now required for phased-array designs. Foreseeing this dilemma, working groups in VITA developed some remarkable new backplane connectors to handle devices such as the RFSoC on SOSA aligned plug-in cards that require eight analog inputs, eight analog outputs, and four clocks and timing signals.

Figure 8. Mercury 5553 RFSoC SOSA aligned 3U OpenVPX plug-in card features 20 VITA 67.3 backplane coaxial RF connectors and two 100 GbE optical I/O ferrules.

Now adopted by SOSA, these backplane connectors support products like the Mercury 5553 SOSA aligned Gen 3 RFSoC processor shown in Figure 8. Note the absence of front panel connectors in the left photo, and the two VITA 67.3 metal blind-mate backplane housings in the right photo. The housings provide 20 coaxial nano-RF connectors rated for 20 GHz signal bandwidths, nicely supporting all analog I/O signals for the RFSoC. In addition, each housing has a 24-lane optical fiber ferrule to support the two 100 GbE Ethernet ports of the RFSoC.

Diverse Challenges and Solutions

Finding the best solutions to accommodate new technologies for defense applications requires a multi-disciplinary approach to characterize and optimize performance, accommodate hostile environments, ensure reliability, and reduce SWaP factors. Innovative packaging and fabrication techniques and new thermal management strategies are playing more critical roles in product development, often dramatically distinguishing offerings from competing vendors. Lastly, as devices become more complex, effective supporting tools have become increasingly important to speed customer application development and deployment.

This article was written by Rodger Hosking, Director of Sales, Mercury Systems, Inc. (Upper Saddle River, NJ). For more information, go here .